Welcome![Sign In][Sign Up]
Location:
Search - VHDL sine wave generator

Search list

[VHDL-FPGA-Verilog一个波形发生器和sine波形发生器

Description: 这是一个典型的正玄波发生器程序和一个任意波形发生器程序,大家可以参考学习,对于vhdl入门还是很有帮助的-This is a typical wave generator Shogen procedures and an arbitrary waveform generator procedures, Members can take a learning portal for VHDL or helpful
Platform: | Size: 3072 | Author: 张云鹏 | Hits:

[VHDL-FPGA-Verilog8bitsine

Description: 8bit采样sine波形发生器,一共两个文件,各自用VHDL和VERILOG编写,通信开发平台专用-8bit sampling sine wave generator, a total of two papers, each with VHDL and VERILOG preparation, communications development platform dedicated
Platform: | Size: 5120 | Author: 王刚 | Hits:

[VHDL-FPGA-Verilogsinewave

Description: 6通道正弦波发生器,产生频率,相位,幅值都可调的正弦波形-6-channel sine wave generator, resulting in frequency, phase, amplitude of the sinusoidal waveform are adjustable
Platform: | Size: 1024 | Author: 桑武斌 | Hits:

[assembly languagesine

Description: 用verilog语言编的正弦波发生器,可以用QuartusII来打开这个源码,也可以转换成VHDL语言-Verilog language prepared by the sine wave generator can be used QuartusII to open the source code can also be converted into VHDL language
Platform: | Size: 104448 | Author: 雨孩 | Hits:

[Documentssingt_vhdl_quartus

Description: 正弦波发生器,可以应用,开发环境QUARTUS,实验箱为GW48-Sine wave generator, can be applied, development environment QUARTUS, experimental box for GW48
Platform: | Size: 891904 | Author: 在路上 | Hits:

[VHDL-FPGA-Verilogsin_generator

Description: 在quartus 11 5.1 里用VHDL编写的正弦波发生器,经过仿真通过-Quartus 11 5.1 years in VHDL prepared using sine wave generator, through simulation through
Platform: | Size: 245760 | Author: 郭翠双 | Hits:

[VHDL-FPGA-Verilog61EDA_D159

Description: 正弦波 发生器,VHDL的应用和处理,可以产生任意波形-Sine wave generator, VHDL applications and processing, can generate arbitrary waveform
Platform: | Size: 1731584 | Author: WBT | Hits:

[SCMwave-generator

Description: 产生方波,三角波,正弦波,余弦波等波形,并且可以自由选择和切换,最后可以用于波形输出-Have a square wave, triangle wave, sine wave, cosine wave, such as waveform, and can freely choose and switch, and finally can be used for waveform output
Platform: | Size: 6144 | Author: 周易 | Hits:

[VHDL-FPGA-Verilogsin

Description: 基于Quartus II 5.0编写的正弦波发生器,可控频率,用vhdl编写的-Quartus II 5.0 on the preparation of the sine wave generator, controllable frequency, prepared using VHDL
Platform: | Size: 475136 | Author: uuk | Hits:

[VHDL-FPGA-Verilogsin

Description: 基于fpga的正弦波发生器设计,有一定的参考价值,写的比较详细-The sine wave generator based on FPGA design, have a certain reference value, a more detailed written
Platform: | Size: 632832 | Author: qlg | Hits:

[VHDL-FPGA-Verilogsin125

Description: 用FPGA实现DDS的信号发生器(正弦波125kHz)-Using FPGA to achieve DDS signal generator (sine wave 125kHz)
Platform: | Size: 196608 | Author: 杜海明 | Hits:

[VHDL-FPGA-VerilogVHDL-ROM4

Description: 基于ROM的正弦波发生器的设计:1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based design of the sine wave generator: 1. Sinusoidal waveform generator by the data storage module (ROM), waveform generator control module and latch module 2. Waveform data storage module (ROM) custom data width of 8 , address width of 6, can store 64 points sinusoidal waveform data, waveform data are obtained using MATLAB. 3. To 50MHz clock as input.
Platform: | Size: 98304 | Author: 宫逢源 | Hits:

[Otherbxfsq

Description: 波形发生器的代码,具有产生正弦波、方波、三角波的功能。-Waveform Generator code has generated sine wave, square, triangle-wave function.
Platform: | Size: 16384 | Author: 李仁刚 | Hits:

[VHDL-FPGA-Verilogsignal_generator

Description: 基于vhdl的多功能函数信号发生器的设计,能实现三角波、方波、正弦波。-VHDL-based multi-function signal generator design, can achieve the triangular wave, square wave, sine wave.
Platform: | Size: 1024 | Author: tony | Hits:

[OtherSine

Description: 正弦波发生器,可以让大家学习正弦多种产生方法,可以设计具体电路-Sine wave generator, allowing them to learn the method for multiple sinusoidal, can design a specific circuit
Platform: | Size: 1028096 | Author: 秦寅 | Hits:

[VHDL-FPGA-VerilogsWave

Description: 正弦波,Verilog波形发生器,很好的东西-Sine wave, Verilog waveform generator, a good thing
Platform: | Size: 1391616 | Author: yanppf | Hits:

[VHDL-FPGA-Verilogsine_wave_generator_using_FPGA_implementation

Description: 该资料介绍了用FPGA实现正弦波发生器,原理是利用内置rom表,通过查询的方式实现输出,然后经过外部DAC输出,频率达到1MHz-The information on the sine wave generator using FPGA implementation, the principle is the use of built-in rom form, by querying the means to achieve the output, and then an external DAC output frequency of 1MHz
Platform: | Size: 2190336 | Author: 陈振林 | Hits:

[VHDL-FPGA-VerilogVHDL(sin)

Description: 基于ROM的正弦波发生器的设计 一.实验目的 1. 学习VHDL的综合设计应用 2. 学习基于ROM的正弦波发生器的设计 二.实验内容 设计基于ROM的正弦波发生器,对其编译,仿真。 具体要求: 1.正弦发生器由波形数据存储模块(ROM),波形发生器控制模块及锁存模块组成 2.波形数据存储模块(ROM)定制数据宽度为8,地址宽度为6,可存储 64点正弦波形数据,用MATLAB求出波形数据。 3.将50MHz作为输入时钟。 -ROM-based sine wave generator design 1. Purpose of the experiment 1. VHDL Integrated Design and Application of Learning 2. Learning ROM-based sine wave generator design 2. Experimental content ROM-based sine wave generator design, its compilation, simulation. Specific requirements: 1. Sine wave generator by the data storage module (ROM), waveform generator control module and latch modules Two. Waveform data storage module (ROM) custom data width is 8, the address width of 6, can store 64-point sine wave data, wave data obtained using MATLAB. 3. The 50MHz input clock.
Platform: | Size: 17408 | Author: 爱好 | Hits:

[VHDL-FPGA-Verilogsinbo

Description: 基于quartus II的正弦波发生器,可调频率相位,用其时序仿真即可显示,分模块设计的。有sin。mif文件.-Based quartus II of the sine wave generator, adjustable frequency and phase, with the timing simulation can show that sub-module design. A sin. mif file.
Platform: | Size: 995328 | Author: liyu | Hits:

[VHDL-FPGA-Verilogvhdl-code-for-sine-wave-generator

Description: it is a simple code in vhdl for sine wave generator. the test bench code is also provided in ths code
Platform: | Size: 21504 | Author: nasimus | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net